!
<< previous    top    next >>
Now resolder all connections, but leave the holes free.


© florian anwander, 2009